Cell Transformations and Physical Design Techniques for 3D Monolithic Integrated Circuits

ACM Journal on Emerging Technologies in Computing Systems - United States
doi 10.1145/2491675